ZYNQ_misc

作者: 今日你学左米啊 | 来源:发表于2019-07-21 22:24 被阅读0次

ZYNQ_学习过程中常用知识

@(study)[大学生活, markdown_study, LaTex_study]

引脚约束(Constraints)

编写*.xdc文件,格式:

set_property IOSTANDARD "电压" [get_ports "端口名称"]
set_property PACKAGE_PIN "引脚编号" [get_ports "端口名称"]

比如:

set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]

set_property PACKAGE_PIN U18 [get_ports sys_clk]
set_property PACKAGE_PIN M14 [get_ports {led[0]}]
set_property PACKAGE_PIN M15 [get_ports {led[1]}]
set_property PACKAGE_PIN K16 [get_ports {led[2]}]
set_property PACKAGE_PIN J16 [get_ports {led[3]}]
//注意如果是数组的话用{}括起来

HDMI

HDMI(High-Definition Multimedia Interface)和DVI(Digital Visual Interface)
使用同样传输原理 TMDS(Transition Minimized Differential signal )最小化传输差分信号


hdmi

Type A (Receptacle) HDMI
引脚1 TMDS Data2+
引脚2 TMDS Data2 Shield
引脚3 TMDS Data2–
引脚4 TMDS Data1+
引脚5 TMDS Data1 Shield
引脚6 TMDS Data1–
引脚7 TMDS Data0+
引脚8 TMDS Data0 Shield
引脚9 TMDS Data0–
引脚10 TMDS Clock+
引脚11 TMDS Clock Shield
引脚12 TMDS Clock–
引脚13 CEC
引脚14 Reserved(N.C. on device)
引脚15 SCL(I²C serial clock for DDC)
引脚16 SDA(I²C serial data for DDC)
引脚17 DDC/CEC Ground
引脚18 +5 V Power
引脚19 Hot Plug Detect

三个Data[2:0]通道分别代表RGB数据差分线,然后有时钟差分线输入,IIC的两个数据口是用来说明这个接口的版本,要怎样传输.

VIVADO快捷键

  1. F2跳转
  2. ALT+ -> 前后一级跳转
  3. ctrl+q 回退到初始位置
  4. alt+ / 自动补全!
  5. ctrl+/ 注释

想我尽早更新的方法之一

相关文章

  • ZYNQ_misc

    ZYNQ_学习过程中常用知识 @(study)[大学生活, markdown_study, LaTex_study...

网友评论

      本文标题:ZYNQ_misc

      本文链接:https://www.haomeiwen.com/subject/suqblctx.html